CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pwm vhdl

搜索资源列表

  1. Source.rar

    0下载:
  2. PWM Verilog源代码,可以通过仿真测试,PWM Verilog source code, can be tested through simulation
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:2464
    • 提供者:shuichengwen
  1. pwm

    1下载:
  2. pwm的占空比和死区时间可调的Verilog HDL程序设计和测试-duty cycle of pwm and adjustable dead time of the Verilog HDL design and testing procedures
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-05-03
    • 文件大小:847
    • 提供者:chenhaoran
  1. FPGA_PWM

    0下载:
  2. 用Verilog语言编写的FPGA控制PWM的程序.利用码盘脉冲进行调速,进行过简单试验,可用.没有经过长期验证.做简单修改即可应用!-Using Verilog languages FPGA control PWM procedures. Using pulse code disk for governor, conducted a simple test that can be used. Not after a long-term verification. To do a simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1009
    • 提供者:温海龙
  1. PWM

    0下载:
  2. 用FPGA实现pwm调制波,通过单片机软核控制输入量来实现任意占空比方波的产生-wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4520593
    • 提供者:
  1. pwm

    0下载:
  2. 实现PWM波型....使用VHDL语言-Realization of PWM waveform using the VHDL language ....
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:371245
    • 提供者:xxj
  1. pwm-c

    0下载:
  2. 用VHDL编写的PWM控制程序,通过寄存器控制20余路PWM输出;qar是quartus的压缩包格式-VHDL prepared using PWM control procedures, through the registers to control more than 20 road PWM output qar is Quartus compressed packet format
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:120227
    • 提供者:mu
  1. PWM

    1下载:
  2. 使用VERILOG 语言产生PWM波。只需要使用处理器或内核直接配置相应的寄存器就可以输出PWM波。-VERILOG language use PWM wave generated. Only need to use the processor or core directly corresponding configuration register can output PWM wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2235
    • 提供者:望习才
  1. PWM

    0下载:
  2. 实现三相pwm控制,从而控制三相逆变器的变化速度-The realization of three-phase pwm control, three-phase inverter to control the pace of change
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:890
    • 提供者:rocky
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路; &
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:37426
    • 提供者:袁玉佳
  1. pwm

    0下载:
  2. 用 硬件描述语言实现脉宽调制 VHDL 例子-PWM through VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:810
    • 提供者:Wayne Gao
  1. PWM

    0下载:
  2. 实现PWM波的产生,可用于电机控制.可以改变其占空比及频率来实现电机的调速.-Realization of PWM wave generation, can be used for motor control. Can change its duty cycle and frequency to achieve the speed control motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:436361
    • 提供者:宋瑞鹏
  1. PWM

    0下载:
  2. 四路PWM输出控制器,输入频率5OMHz,输出频率调,输入数据实现占空比控制。-Four-way controller PWM output, input frequency 5OMHz, tune output frequency, duty cycle control of the realization of the input data.
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:239016
    • 提供者:wx
  1. pwm

    0下载:
  2. 运用FPGA 产生pwm脉宽调制信号的源代码-use fpga generate pwm signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2425872
    • 提供者:任彩虹
  1. pwm

    0下载:
  2. 采用vhdl语言实现12路的pwm波控制。-Language implementation using vhdl wave pwm control of the road 12.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1614463
    • 提供者:yangbin
  1. pwm

    0下载:
  2. 利用Verilog语言产生17路PWM波,控制17路舵机,可以作为IP核添加到AVALON总线上,在nios IDE里用C语言控制。-Using Verilog language production of 17 Road PWM signal to control 17 Servos, can be used as IP core to the AVALON bus, in the nios IDE in control with the C language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3389
    • 提供者:尹长生
  1. pwm

    0下载:
  2. FPGA控制的 PWM LED程序 较为复杂 有助于新手进阶参考-FPGA PWM LED control is more complicated procedures will help novices Advanced Reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:432312
    • 提供者:cood
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. statemation-for-PWM-

    0下载:
  2. 基于状态机对步进电机的操作,利用VHDL语言编写,在Quartus 8.1环境下测试通过,可以建立波形文件做仿真实验-stepper motor based on ststemation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:212419
    • 提供者:youungsky
  1. PWM-DCMotor-Control-based-on-VHDL

    0下载:
  2. 基于VHDL的直流电机的PWM控制程序,用vhdl语言写的直流电机控制程序-PWM DC Motor Control Program based on the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:211200
    • 提供者:
  1. PWM

    0下载:
  2. pwm PWM.ise Implementation State: Module Name: PWM Target Device: xc9572-10PC84
  3. 所属分类:其他

    • 发布日期:2017-12-31
    • 文件大小:1439744
    • 提供者:nohswn
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com